ASRock Fatal1ty FM2A88X+ Killer - Debian - 2020 archive

back to main Debian page for this machine.

2020 archive.

2020-12-08: sdb3 -after a reboot, Debian 10.7 is in

tingo@kg-vm4:~$ cat /etc/debian_version
10.7

kernel

tingo@kg-vm4:~$ uname -a
Linux kg-vm4 4.19.0-13-amd64 #1 SMP Debian 4.19.160-2 (2020-11-28) x86_64 GNU/Linux

ok

2020-12-08: sdb3 - apt - full-upgrade

tingo@kg-vm4:~$ sudo apt full-upgrade
Reading package lists... Done
Building dependency tree       
Reading state information... Done
Calculating upgrade... Done
The following package was automatically installed and is no longer required:
  libjsoncpp1
Use 'sudo apt autoremove' to remove it.
The following NEW packages will be installed:
  linux-image-4.19.0-13-amd64
The following packages will be upgraded:
  base-files cups cups-bsd cups-client cups-common cups-core-drivers cups-daemon cups-ipp-utils cups-ppdc
  cups-server-common distro-info-data firefox-esr freetype2-doc gir1.2-javascriptcoregtk-4.0 gir1.2-webkit2-4.0
  krb5-locales libbrotli1 libcups2 libcupsimage2 libefiboot1 libefivar1 libexif12 libfreetype6 libfreetype6-dev
  libgssapi-krb5-2 libhttpclient-java libimobiledevice6 libjavascriptcoregtk-4.0-18 libjpeg-dev libjpeg62-turbo
  libjpeg62-turbo-dev libk5crypto3 libkrb5-3 libkrb5support0 libldap-2.4-2 libldap-common libmariadb3 libnss-systemd
  libpam-systemd libpcaudio0 libproxy-tools libproxy1v5 libraptor2-0 libspice-server1 libsqlite3-0 libssl1.1
  libsystemd0 libturbojpeg0 libudev1 libwebkit2gtk-4.0-37 libxencall1 libxendevicemodel1 libxenevtchn1
  libxenforeignmemory1 libxengnttab1 libxenmisc4.11 libxenstore3.0 libxentoolcore1 libxentoollog1 libxml2
  libxml2-utils linux-image-amd64 linux-libc-dev mariadb-common mutt openjdk-11-jre openjdk-11-jre-headless openssl
  ovmf python3-libxml2 systemd systemd-sysv tzdata udev xserver-common xserver-xorg-core xserver-xorg-legacy
77 upgraded, 1 newly installed, 0 to remove and 0 not upgraded.
Need to get 195 MB of archives.
After this operation, 296 MB of additional disk space will be used.
Do you want to continue? [Y/n] y
[..]
Processing triggers for initramfs-tools (0.133+deb10u1) ...
update-initramfs: Generating /boot/initrd.img-4.19.0-13-amd64

ok

2020-11-26: sdb3 - LiteX - Linux on LiteX-VexRiscv - try to build for ulx3s, now with 85F size fpga

tingo@kg-vm4:~/doc/Radiona/ULX3S/examples/litex-hub/linux-on-litex-vexriscv$ ./make.py --board ulx3s --device LFE5U-85F --build
[..]
Info: Device utilisation:
Info:            TRELLIS_SLICE:  6162/41820    14%
Info:               TRELLIS_IO:    56/  365    15%
Info:                     DCCA:     2/   56     3%
Info:                   DP16KD:    61/  208    29%
Info:               MULT18X18D:     4/  156     2%
Info:                   ALU54B:     0/   78     0%
Info:                  EHXPLLL:     1/    4    25%
Info:                  EXTREFB:     0/    2     0%
Info:                     DCUA:     0/    2     0%
Info:                PCSCLKDIV:     0/    2     0%
Info:                  IOLOGIC:    39/  224    17%
Info:                 SIOLOGIC:     0/  141     0%
Info:                      GSR:     0/    1     0%
Info:                    JTAGG:     0/    1     0%
Info:                     OSCG:     0/    1     0%
Info:                    SEDGA:     0/    1     0%
Info:                      DTR:     0/    1     0%
Info:                  USRMCLK:     0/    1     0%
Info:                  CLKDIVF:     0/    4     0%
Info:                ECLKSYNCB:     0/   10     0%
Info:                  DLLDELD:     0/    8     0%
Info:                   DDRDLL:     0/    4     0%
Info:                  DQSBUFM:     0/   14     0%
Info:          TRELLIS_ECLKBUF:     0/    8     0%
Info:             ECLKBRIDGECS:     0/    2     0%
[..]
Info: Max frequency for clock '$glbnet$main_clkout0': 60.91 MHz (PASS at 50.00 MHz)
[..]
buildroot/rv32.dtb: Warning (reg_format): /soc/spi@f0004000/mmc-slot@0:reg: property has invalid length (4 bytes) (#address-cells == 1, #size-cells == 1)
buildroot/rv32.dtb: Warning (pci_device_reg): Failed prerequisite 'reg_format'
buildroot/rv32.dtb: Warning (pci_device_bus_num): Failed prerequisite 'reg_format'
buildroot/rv32.dtb: Warning (simple_bus_reg): Failed prerequisite 'reg_format'
 CC       isr.o
 CC       main.o
 LD       emulator.elf
chmod -x emulator.elf
 OBJCOPY  emulator.bin
chmod -x emulator.bin

hmm, does make.py have a "clean"?

2020-11-26: sdb3 - LiteX - there is also this

tingo@kg-vm4:~/doc/Radiona/ULX3S/examples/litex-hub/linux-on-litex-vexriscv$ ../litex-boards/litex_boards/targets/ulx3s.py --help
usage: ulx3s.py [-h] [--build] [--load] [--toolchain TOOLCHAIN]
                [--device DEVICE] [--revision REVISION]
                [--sys-clk-freq SYS_CLK_FREQ] [--sdram-module SDRAM_MODULE]
                [--with-spi-sdcard] [--with-sdcard] [--with-oled]
                [--sdram-rate SDRAM_RATE] [--output-dir OUTPUT_DIR]
                [--gateware-dir GATEWARE_DIR] [--software-dir SOFTWARE_DIR]
                [--include-dir INCLUDE_DIR] [--generated-dir GENERATED_DIR]
                [--no-compile-software] [--no-compile-gateware]
                [--csr-csv CSR_CSV] [--csr-json CSR_JSON] [--csr-svd CSR_SVD]
                [--memory-x MEMORY_X] [--doc] [--bus-standard BUS_STANDARD]
                [--bus-data-width BUS_DATA_WIDTH]
                [--bus-address-width BUS_ADDRESS_WIDTH]
                [--bus-timeout BUS_TIMEOUT] [--cpu-type CPU_TYPE]
                [--cpu-variant CPU_VARIANT]
                [--cpu-reset-address CPU_RESET_ADDRESS]
                [--integrated-rom-size INTEGRATED_ROM_SIZE]
                [--integrated-rom-file INTEGRATED_ROM_FILE]
                [--integrated-sram-size INTEGRATED_SRAM_SIZE]
                [--integrated-main-ram-size INTEGRATED_MAIN_RAM_SIZE]
                [--csr-data-width CSR_DATA_WIDTH]
                [--csr-address-width CSR_ADDRESS_WIDTH]
                [--csr-paging CSR_PAGING] [--csr-ordering CSR_ORDERING]
                [--ident IDENT] [--ident-version IDENT_VERSION] [--no-uart]
                [--uart-name UART_NAME] [--uart-baudrate UART_BAUDRATE]
                [--uart-fifo-depth UART_FIFO_DEPTH] [--no-timer]
                [--timer-uptime] [--no-ctrl] [--l2-size L2_SIZE]
                [--min-l2-data-width MIN_L2_DATA_WIDTH]
                [--max-sdram-size MAX_SDRAM_SIZE] [--yosys-nowidelut]
                [--yosys-abc9] [--nextpnr-timingstrict]
                [--nextpnr-ignoreloops] [--ecppack-bootaddr ECPPACK_BOOTADDR]
                [--ecppack-spimode ECPPACK_SPIMODE]
                [--nextpnr-seed NEXTPNR_SEED]

LiteX SoC on ULX3S

optional arguments:
  -h, --help            show this help message and exit
  --build               Build bitstream
  --load                Load bitstream
  --toolchain TOOLCHAIN
                        FPGA toolchain: trellis (default) or diamond
  --device DEVICE       FPGA device: LFE5U-12F, LFE5U-25F, LFE5U-45F (default)
                        or LFE5U-85F
  --revision REVISION   Board revision: 2.0 (default) or 1.7
  --sys-clk-freq SYS_CLK_FREQ
                        System clock frequency (default: 50MHz)
  --sdram-module SDRAM_MODULE
                        SDRAM module: MT48LC16M16 (default), AS4C32M16 or
                        AS4C16M16
  --with-spi-sdcard     Enable SPI-mode SDCard support
  --with-sdcard         Enable SDCard support
  --with-oled           Enable SDD1331 OLED support
  --sdram-rate SDRAM_RATE
                        SDRAM Rate: 1:1 Full Rate (default), 1:2 Half Rate
  --output-dir OUTPUT_DIR
                        base output directory for generated source files and
                        binaries (customizable with
                        --{gateware,software,include,generated}-dir)
  --gateware-dir GATEWARE_DIR
                        output directory for gateware files
  --software-dir SOFTWARE_DIR
                        base output directory for software files
  --include-dir INCLUDE_DIR
                        output directory for header files
  --generated-dir GENERATED_DIR
                        output directory for various generated files
  --no-compile-software
                        do not compile the software, only generate build
                        infrastructure
  --no-compile-gateware
                        do not compile the gateware, only generate HDL source
                        files and build scripts
  --csr-csv CSR_CSV     store CSR map in CSV format into the specified file
  --csr-json CSR_JSON   store CSR map in JSON format into the specified file
  --csr-svd CSR_SVD     store CSR map in SVD format into the specified file
  --memory-x MEMORY_X   store Mem regions in memory-x format into the
                        specified file
  --doc                 Generate Documentation
  --bus-standard BUS_STANDARD
                        select bus standard: wishbone, axi-lite,
                        (default=wishbone)
  --bus-data-width BUS_DATA_WIDTH
                        Bus data width (default=32)
  --bus-address-width BUS_ADDRESS_WIDTH
                        Bus address width (default=32)
  --bus-timeout BUS_TIMEOUT
                        Bus timeout in cycles (default=1e6)
  --cpu-type CPU_TYPE   select CPU: None, external, lm32, mor1kx, microwatt,
                        serv, picorv32, minerva, vexriscv, vexriscv_smp,
                        cv32e40p, rocket, blackparrot, zynq7000,
                        (default=vexriscv)
  --cpu-variant CPU_VARIANT
                        select CPU variant, (default=standard)
  --cpu-reset-address CPU_RESET_ADDRESS
                        CPU reset address (default=None (Integrated ROM)
  --integrated-rom-size INTEGRATED_ROM_SIZE
                        size/enable the integrated (BIOS) ROM (default=32KB)
  --integrated-rom-file INTEGRATED_ROM_FILE
                        integrated (BIOS) ROM binary file
  --integrated-sram-size INTEGRATED_SRAM_SIZE
                        size/enable the integrated SRAM (default=8KB)
  --integrated-main-ram-size INTEGRATED_MAIN_RAM_SIZE
                        size/enable the integrated main RAM
  --csr-data-width CSR_DATA_WIDTH
                        CSR bus data-width (8 or 32, default=32)
  --csr-address-width CSR_ADDRESS_WIDTH
                        CSR bus address-width
  --csr-paging CSR_PAGING
                        CSR bus paging
  --csr-ordering CSR_ORDERING
                        CSR registers ordering (default=big)
  --ident IDENT         SoC identifier (default=""
  --ident-version IDENT_VERSION
                        add date/time to SoC identifier (default=False)
  --no-uart             Disable UART (default=False)
  --uart-name UART_NAME
                        UART type/name (default=serial)
  --uart-baudrate UART_BAUDRATE
                        UART baudrate (default=115200)
  --uart-fifo-depth UART_FIFO_DEPTH
                        UART FIFO depth (default=16)
  --no-timer            Disable Timer (default=False)
  --timer-uptime        Add an uptime register to the timer (default=False)
  --no-ctrl             Disable Controller (default=False)
  --l2-size L2_SIZE     L2 cache size (default=8192)
  --min-l2-data-width MIN_L2_DATA_WIDTH
                        Minimum L2 cache datawidth (default=128)
  --max-sdram-size MAX_SDRAM_SIZE
                        Maximum SDRAM size mapped to the SoC (default=1GB))
  --yosys-nowidelut     pass '-nowidelut' to yosys synth_ecp5
  --yosys-abc9          pass '-abc9' to yosys synth_ecp5
  --nextpnr-timingstrict
                        fail if timing not met, i.e., do NOT pass '--timing-
                        allow-fail' to nextpnr
  --nextpnr-ignoreloops
                        ignore combinational loops in timing analysis, i.e.
                        pass '--ignore-loops' to nextpnr
  --ecppack-bootaddr ECPPACK_BOOTADDR
                        Set boot address for next image, i.e. pass '--bootaddr
                        xxx' to ecppack
  --ecppack-spimode ECPPACK_SPIMODE
                        Set slave SPI programming mode
  --nextpnr-seed NEXTPNR_SEED
                        seed to pass to nextpnr

wow.

2020-11-26: sdb3 - LiteX - Linux on LiteX-VexRiscv - try to build for ulx3s

tingo@kg-vm4:~/doc/Radiona/ULX3S/examples/litex-hub/linux-on-litex-vexriscv$ ./make.py --board ulx3s --build
[..]
ength (4 bytes) (#address-cells == 1, #size-cells == 1)
buildroot/rv32.dtb: Warning (pci_device_reg): Failed prerequisite 'reg_format'
buildroot/rv32.dtb: Warning (pci_device_bus_num): Failed prerequisite 'reg_format'
buildroot/rv32.dtb: Warning (simple_bus_reg): Failed prerequisite 'reg_format'
 CC       isr.o
 CC       main.o
 LD       emulator.elf
chmod -x emulator.elf
 OBJCOPY  emulator.bin
chmod -x emulator.bin

but default is for 45F size fpga. Try to build for 12F

tingo@kg-vm4:~/doc/Radiona/ULX3S/examples/litex-hub/linux-on-litex-vexriscv$ ./make.py --board ulx3s --device LFE5U-12F --build
[..]
Info: Device utilisation:
Info:            TRELLIS_SLICE:  6458/12144    53%
Info:               TRELLIS_IO:    56/  197    28%
Info:                     DCCA:     2/   56     3%
Info:                   DP16KD:    61/   56   108%
Info:               MULT18X18D:     4/   28    14%
Info:                   ALU54B:     0/   14     0%
Info:                  EHXPLLL:     1/    2    50%
Info:                  EXTREFB:     0/    1     0%
Info:                     DCUA:     0/    1     0%
Info:                PCSCLKDIV:     0/    2     0%
Info:                  IOLOGIC:    39/  128    30%
Info:                 SIOLOGIC:     0/   69     0%
Info:                      GSR:     0/    1     0%
Info:                    JTAGG:     0/    1     0%
Info:                     OSCG:     0/    1     0%
Info:                    SEDGA:     0/    1     0%
Info:                      DTR:     0/    1     0%
Info:                  USRMCLK:     0/    1     0%
Info:                  CLKDIVF:     0/    4     0%
Info:                ECLKSYNCB:     0/   10     0%
Info:                  DLLDELD:     0/    8     0%
Info:                   DDRDLL:     0/    4     0%
Info:                  DQSBUFM:     0/    8     0%
Info:          TRELLIS_ECLKBUF:     0/    8     0%
Info:             ECLKBRIDGECS:     0/    2     0%
[..]
Info: Placed 96 cells based on constraints.
ERROR: Unable to place cell 'mem_1.0.0.0', no Bels remaining of type 'DP16KD'
0 warnings, 1 error
Traceback (most recent call last):
  File "./make.py", line 556, in <module>
    main()
  File "./make.py", line 538, in main
    builder.build(build_name="top", run=args.build)
  File "/zs/doc/Radiona/ULX3S/examples/litex-hub/litex/litex/soc/integration/builder.py", line 217, in build
    vns = self.soc.build(build_dir=self.gateware_dir, **kwargs)
  File "/zs/doc/Radiona/ULX3S/examples/litex-hub/litex/litex/soc/integration/soc.py", line 1054, in build
    return self.platform.build(self, *args, **kwargs)
  File "/zs/doc/Radiona/ULX3S/examples/litex-hub/litex/litex/build/lattice/platform.py", line 41, in build
    return self.toolchain.build(self, *args, **kwargs)
  File "/zs/doc/Radiona/ULX3S/examples/litex-hub/litex/litex/build/lattice/trellis.py", line 240, in build
    _run_script(script)
  File "/zs/doc/Radiona/ULX3S/examples/litex-hub/litex/litex/build/lattice/trellis.py", line 170, in _run_script
    raise OSError("Error occured during Yosys/Nextpnr's script execution.")
OSError: Error occured during Yosys/Nextpnr's script execution.

ok, it won't fit in a 12F.

2020-11-26: sdb3 - LiteX - Linux on LiteX-VexRiscv - make.py help

usage: make.py [-h] --board BOARD [--device DEVICE] [--build] [--load]
               [--flash] [--doc] [--local-ip LOCAL_IP] [--remote-ip REMOTE_IP]
               [--spi-data-width SPI_DATA_WIDTH] [--spi-clk-freq SPI_CLK_FREQ]
               [--video VIDEO]

Linux on LiteX-VexRiscv

Available boards:
- acorn_cle_215
- arty
- arty_a7
- arty_s7
- netv2
- genesys2
- kc705
- kcu105
- zcu104
- nexys4ddr
- nexys_video
- minispartan6
- pipistrello
- xcu1525
- versa_ecp5
- ulx3s
- hadbadge
- orangecrab
- camlink_4k
- trellisboard
- ecpix5
- de0nano
- de10lite
- de10nano
- qmtech_ep4ce15

optional arguments:
  -h, --help            show this help message and exit
  --board BOARD         FPGA board
  --device DEVICE       FPGA device
  --build               Build bitstream
  --load                Load bitstream (to SRAM)
  --flash               Flash bitstream/images (to SPI Flash)
  --doc                 Build documentation
  --local-ip LOCAL_IP   Local IP address
  --remote-ip REMOTE_IP
                        Remote IP address of TFTP server
  --spi-data-width SPI_DATA_WIDTH
                        SPI data width (maximum transfered bits per xfer)
  --spi-clk-freq SPI_CLK_FREQ
                        SPI clock frequency
  --video VIDEO         Video configuration

ok

2020-11-26: sdb3 - LiteX - install LiteX

tingo@kg-vm4:~/doc/Radiona/ULX3S/examples/litex-hub$ wget https://raw.githubusercontent.com/enjoy-digital/litex/master/litex_setup.py
tingo@kg-vm4:~/doc/Radiona/ULX3S/examples/litex-hub$ chmod u+x litex_setup.py
tingo@kg-vm4:~/doc/Radiona/ULX3S/examples/litex-hub$ ./litex_setup.py init install --user
[..]

ok, lots of stuff in current directory.

tingo@kg-vm4:~/doc/Radiona/ULX3S/examples/litex-hub$ export PATH=$PATH:~/.local/bin

install a RISC-V toolchain

tingo@kg-vm4:~/progs$ tar xvf ~/dl/riscv64-unknown-elf-gcc-8.1.0-2019.01.0-x86_64-linux-ubuntu14.tar.gz
[..]
tingo@kg-vm4:~$ export PATH=$PATH:~/progs/riscv64-unknown-elf-gcc-8.1.0-2019.01.0-x86_64-linux-ubuntu14/bin/

ok. Install the open fpga toolchain and add it to PATH

tingo@kg-vm4:~$ export PATH=$PATH:~/progs/fpga-toolchain/bin/

ok

2020-11-26: sdb3 - clone the linux-on-litex-vexriscv repository:

tingo@kg-vm4:~/doc/Radiona/ULX3S/examples/litex-hub$ git clone https://github.com/litex-hub/linux-on-litex-vexriscv.git
Cloning into 'linux-on-litex-vexriscv'...
remote: Enumerating objects: 70, done.
remote: Counting objects: 100% (70/70), done.
remote: Compressing objects: 100% (54/54), done.
remote: Total 1957 (delta 35), reused 44 (delta 15), pack-reused 1887
Receiving objects: 100% (1957/1957), 9.35 MiB | 10.07 MiB/s, done.
Resolving deltas: 100% (1069/1069), done.

ok

2020-11-26: sdb3 - apt - install dtc (device-tree-compiler)

tingo@kg-vm4:~/doc/Radiona/ULX3S/examples/litex-hub/litex-boards$ sudo apt install device-tree-compiler
Reading package lists... Done
Building dependency tree       
Reading state information... Done
The following NEW packages will be installed:
  device-tree-compiler
0 upgraded, 1 newly installed, 0 to remove and 10 not upgraded.
Need to get 254 kB of archives.
After this operation, 520 kB of additional disk space will be used.
Get:1 http://ftp.no.debian.org/debian buster/main amd64 device-tree-compiler amd64 1.4.7-3 [254 kB]
Fetched 254 kB in 0s (3,398 kB/s)            
Selecting previously unselected package device-tree-compiler.
(Reading database ... 155138 files and directories currently installed.)
Preparing to unpack .../device-tree-compiler_1.4.7-3_amd64.deb ...
Unpacking device-tree-compiler (1.4.7-3) ...
Setting up device-tree-compiler (1.4.7-3) ...
Processing triggers for man-db (2.8.5-2) ...

ok

2020-11-26: sdb3 - apt - install python3-setuptools

tingo@kg-vm4:~$ sudo apt install python3-setuptools
Reading package lists... Done
Building dependency tree       
Reading state information... Done
Suggested packages:
  python-setuptools-doc
The following NEW packages will be installed:
  python3-setuptools
0 upgraded, 1 newly installed, 0 to remove and 10 not upgraded.
Need to get 306 kB of archives.
After this operation, 1,353 kB of additional disk space will be used.
[..]
Selecting previously unselected package python3-setuptools.
(Reading database ... 155047 files and directories currently installed.)
Preparing to unpack .../python3-setuptools_40.8.0-1_all.deb ...
Unpacking python3-setuptools (40.8.0-1) ...
Setting up python3-setuptools (40.8.0-1) ...

ok.

2020-10-12: sdb3 - build vhd2vl (see below)

tingo@kg-vm4:~/work/vhd2vl$ pwd
/home/tingo/work/vhd2vl
make[1]: Entering directory '/zs/work/vhd2vl/src'
flex -i vhd2vl.l
bison -d -v -t vhd2vl.y
vhd2vl.y:922.1-14: warning: deprecated directive, use ���%define parse.error verbose��� [-Wdeprecated]
 %error-verbose
 ^~~~~~~~~~~~~~
vhd2vl.y: warning: fix-its can be applied.  Rerun with option '--update'. [-Wother]
cc --std=c99 -D_DEFAULT_SOURCE -Wall -W -O2 -g -o vhd2vl lex.yy.c vhd2vl.tab.c
make[1]: Leaving directory '/zs/work/vhd2vl/src'
make[1]: Entering directory '/zs/work/vhd2vl/examples'
make[1]: Nothing to be done for 'all'.
make[1]: Leaving directory '/zs/work/vhd2vl/examples'
##### Translating Examples #####################################
Translating: Scientific.vhd
Translating: based.vhd
Translating: bigfile.vhd
WARNING (line 431): MOD translation as % is wrong when args have different signs.
Translating: clk.vhd
Translating: counters.vhd
Translating: dsp.vhd
Translating: expr.vhd
Translating: fifo.vhd
WARNING (line 34): port default initialization ignored.
Translating: forgen.vhd
Translating: forloop.vhd
Translating: genericmap.vhd
WARNING (line 7): MOD translation as % is wrong when args have different signs.
Translating: ifchain.vhd
Translating: ifchain2.vhd
WARNING (line 34): assertion ignored.
Translating: mem.vhd
Translating: operators.vhd
WARNING (line 58): SLL translated as logical shift.
 Replace << by <<< in the resulting Verilog for the arithmetic version.
WARNING (line 59): SRL translated as logical shift.
 Replace >> by >>> in the resulting Verilog for the arithmetic version.
WARNING (line 60): SLL translated as logical shift.
 Replace << by <<< in the resulting Verilog for the arithmetic version.
WARNING (line 61): SRL translated as logical shift.
 Replace >> by >>> in the resulting Verilog for the arithmetic version.
WARNING (line 62): SHIFT_LEFT() translated as logical shift.
 Replace << by <<< in the resulting Verilog for the arithmetic version.
WARNING (line 63): SHIFT_RIGHT() translated as logical shift.
 Replace >> by >>> in the resulting Verilog for the arithmetic version.
WARNING (line 69): MOD translation as % is wrong when args have different signs.
Translating: partselect.vhd
Translating: signextend.vhd
Translating: test.vhd
Translating: wbit1.vhd
Translating: whileloop.vhd
WARNING (line 15): integer range ignored.
Translating: withselect.vhd
make[1]: Entering directory '/zs/work/vhd2vl/translated_examples'
iverilog -Wall -y . -t null Scientific.v
make[1]: iverilog: Command not found
make[1]: *** [Makefile:8: Scientific_check] Error 127
make[1]: Leaving directory '/zs/work/vhd2vl/translated_examples'
make: *** [Makefile:28: translate] Error 2

but vhd2vl is ok.

2020-10-12: sdb3 - apt - install bison, flex (required for building vhd2vl):

tingo@kg-vm4:~$ sudo apt install bison flex
Reading package lists... Done
Building dependency tree       
Reading state information... Done
The following additional packages will be installed:
  libbison-dev libfl-dev
Suggested packages:
  bison-doc flex-doc
The following NEW packages will be installed:
  bison flex libbison-dev libfl-dev
0 upgraded, 4 newly installed, 0 to remove and 10 not upgraded.
Need to get 1,931 kB of archives.
After this operation, 4,223 kB of additional disk space will be used.
[..]
update-alternatives: using /usr/bin/bison.yacc to provide /usr/bin/yacc (yacc) in auto mode
Processing triggers for man-db (2.8.5-2) ...
Processing triggers for install-info (6.5.0.dfsg.1-4+b1) ...

ok.

2020-09-28: sdb3 - reboot, Debian 10.6 is in

tingo@kg-vm4:~$ cat /etc/debian_version
10.6

kernel

tingo@kg-vm4:~$ uname -a
Linux kg-vm4 4.19.0-11-amd64 #1 SMP Debian 4.19.146-1 (2020-09-17) x86_64 GNU/Linux

ok

2020-09-28: sdb3 - apt - upgrade

tingo@kg-vm4:~$ sudo apt upgrade
Reading package lists... Done
Building dependency tree       
Reading state information... Done
Calculating upgrade... Done
The following package was automatically installed and is no longer required:
  linux-image-4.19.0-8-amd64
Use 'sudo apt autoremove' to remove it.
The following NEW packages will be installed:
  linux-image-4.19.0-11-amd64
The following packages will be upgraded:
  base-files bind9-host clang-7 dnsutils firefox-esr ghostscript libbind9-161 libclang-common-7-dev libclang1-7
  libcommons-compress-java libdns-export1104 libdns1104 libgs9 libgs9-common libgssdp-1.0-3 libgupnp-1.0-4 libirs161
  libisc-export1100 libisc1100 libisccc161 libisccfg163 libllvm7 liblwres161 libomp-7-dev libomp5-7 libopenexr23
  libpq5 libqt5concurrent5 libqt5core5a libqt5dbus5 libqt5gui5 libqt5network5 libqt5opengl5 libqt5opengl5-dev
  libqt5printsupport5 libqt5sql5 libqt5sql5-sqlite libqt5test5 libqt5widgets5 libqt5xml5 libsnmp-base libsnmp30
  libx11-6 libx11-data libx11-dev libx11-xcb-dev libx11-xcb1 libzmq5 linux-image-amd64 linux-libc-dev llvm-7
  llvm-7-dev llvm-7-runtime qemu-kvm qemu-system-common qemu-system-data qemu-system-gui qemu-system-x86 qemu-utils
  qt5-default qt5-gtk-platformtheme qt5-qmake qt5-qmake-bin qtbase5-dev qtbase5-dev-tools qtbase5-doc xserver-common
  xserver-xorg-core xserver-xorg-legacy
69 upgraded, 1 newly installed, 0 to remove and 0 not upgraded.
Need to get 233 MB of archives.
After this operation, 270 MB of additional disk space will be used.
[..]
Processing triggers for man-db (2.8.5-2) ...
Processing triggers for install-info (6.5.0.dfsg.1-4+b1) ...
Processing triggers for desktop-file-utils (0.23-4) ...
Processing triggers for mime-support (3.62) ...
Processing triggers for hicolor-icon-theme (0.17-2) ...
Processing triggers for libc-bin (2.28-10) ...
Errors were encountered while processing:
 linux-image-4.19.0-11-amd64
 linux-image-amd64
E: Sub-process /usr/bin/dpkg returned an error code (1)

hmm, try again

tingo@kg-vm4:~$ sudo apt upgrade
Reading package lists... Done
Building dependency tree       
Reading state information... Done
Calculating upgrade... Done
The following packages were automatically installed and are no longer required:
  linux-image-4.19.0-8-amd64 linux-image-4.19.0-9-amd64
Use 'sudo apt autoremove' to remove them.
0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded.
2 not fully installed or removed.
After this operation, 0 B of additional disk space will be used.
Do you want to continue? [Y/n]
Setting up linux-image-4.19.0-11-amd64 (4.19.146-1) ...
/etc/kernel/postinst.d/initramfs-tools:
update-initramfs: Generating /boot/initrd.img-4.19.0-11-amd64
pigz: abort: write error on <stdout> (No space left on device)
E: mkinitramfs failure cpio 141 pigz 28
update-initramfs: failed for /boot/initrd.img-4.19.0-11-amd64 with 1.
run-parts: /etc/kernel/postinst.d/initramfs-tools exited with return code 1
dpkg: error processing package linux-image-4.19.0-11-amd64 (--configure):
 installed linux-image-4.19.0-11-amd64 package post-installation script subprocess returned error exit status 1
dpkg: dependency problems prevent configuration of linux-image-amd64:
 linux-image-amd64 depends on linux-image-4.19.0-11-amd64; however:
  Package linux-image-4.19.0-11-amd64 is not configured yet.

dpkg: error processing package linux-image-amd64 (--configure):
 dependency problems - leaving unconfigured
Errors were encountered while processing:
 linux-image-4.19.0-11-amd64
 linux-image-amd64
E: Sub-process /usr/bin/dpkg returned an error code (1)

hmm, try autoremove first

tingo@kg-vm4:~$ sudo apt autoremove
Reading package lists... Done
Building dependency tree       
Reading state information... Done
The following packages will be REMOVED:
  linux-image-4.19.0-8-amd64 linux-image-4.19.0-9-amd64
0 upgraded, 0 newly installed, 2 to remove and 0 not upgraded.
2 not fully installed or removed.
After this operation, 538 MB disk space will be freed.
Do you want to continue? [Y/n] y
[..]
Found initrd image: /boot/initrd.img-4.19.0-10-amd64
  /dev/sdd: open failed: No medium found
  WARNING: Device /dev/sdb3 has size of 80078848 sectors which is smaller than corresponding PV size of 350099456 sectors. Was device resized?
  One or more devices used as PVs in VG kg-vm4-debian-root-vg have changed sizes.
  /dev/sdd: open failed: No medium found
  WARNING: Device /dev/sdb3 has size of 80078848 sectors which is smaller than corresponding PV size of 350099456 sectors. Was device resized?
  One or more devices used as PVs in VG kg-vm4-debian-root-vg have changed sizes.
  /dev/sdd: open failed: No medium found
  WARNING: Device /dev/sdb3 has size of 80078848 sectors which is smaller than corresponding PV size of 350099456 sectors. Was device resized?
  One or more devices used as PVs in VG kg-vm4-debian-root-vg have changed sizes.
Found unknown Linux distribution on /dev/sda2
Adding boot menu entry for EFI firmware configuration
done
Setting up linux-image-amd64 (4.19+105+deb10u6) ...

try upgrade again

tingo@kg-vm4:~$ sudo apt upgrade
Reading package lists... Done
Building dependency tree       
Reading state information... Done
Calculating upgrade... Done
0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded.

everything good now?

tingo@kg-vm4:~$ sudo apt list --installed linux-image*
Listing... Done
linux-image-4.19.0-10-amd64/stable,now 4.19.132-1 amd64 [installed,automatic]
linux-image-4.19.0-11-amd64/stable,now 4.19.146-1 amd64 [installed,automatic]
linux-image-amd64/stable,now 4.19+105+deb10u6 amd64 [installed]

ok.

2020-08-11: sdb3 - reboot - Debian 10.5 is in

tingo@kg-vm4:~$ cat /etc/debian_version
10.5

kernel

tingo@kg-vm4:~$ uname -a
Linux kg-vm4 4.19.0-10-amd64 #1 SMP Debian 4.19.132-1 (2020-07-24) x86_64 GNU/Linux

ok

2020-08-11: sdb3 - apt - upgrade

tingo@kg-vm4:~$ sudo apt upgrade
Reading package lists... Done
Building dependency tree      
Reading state information... Done
Calculating upgrade... Done
The following NEW packages will be installed:
  linux-image-4.19.0-10-amd64
The following packages will be upgraded:
  apt apt-utils base-files bind9-host ca-certificates dbus dbus-user-session dbus-x11 dnsutils exim4
  exim4-base exim4-config exim4-daemon-light firefox-esr gir1.2-javascriptcoregtk-4.0
  gir1.2-webkit2-4.0 glib-networking glib-networking-common glib-networking-services grub-common
  grub-efi-amd64 grub-efi-amd64-bin grub-efi-amd64-signed grub2-common imagemagick
  imagemagick-6-common imagemagick-6.q16 libapt-inst2.0 libapt-pkg5.0 libavcodec58 libavfilter7
  libavformat58 libavresample4 libavutil56 libbind9-161 libdbus-1-3 libdns-export1104 libdns1104
  libexif12 libexiv2-14 libgnutls-dane0 libgnutls-openssl27 libgnutls30 libinput-bin libinput10
  libirs161 libisc-export1100 libisc1100 libisccc161 libisccfg163 libjavascriptcoregtk-4.0-18
  libjson-c3 liblirc-client0 liblog4j1.2-java liblwres161 libmagickcore-6.q16-6
  libmagickcore-6.q16-6-extra libmagickwand-6.q16-6 libmariadb3 libnss3 libopenmpt-modplug1
  libopenmpt0 libperl5.28 libpostproc55 libpython3.7 libpython3.7-dbg libpython3.7-minimal
  libpython3.7-stdlib libswresample3 libswscale5 libunbound8 libunwind8 libvlc-bin libvlc5
  libvlccore9 libwebkit2gtk-4.0-37 libxencall1 libxendevicemodel1 libxenevtchn1 libxenforeignmemory1
  libxengnttab1 libxenmisc4.11 libxenstore3.0 libxentoolcore1 libxentoollog1
  linux-image-4.19.0-9-amd64 linux-image-amd64 linux-libc-dev mariadb-common mutt nfs-common
  openjdk-11-jre openjdk-11-jre-headless perl perl-base perl-modules-5.28 python-pil python3-pil
  python3.7 python3.7-dbg python3.7-minimal qemu-kvm qemu-system-common qemu-system-data
  qemu-system-gui qemu-system-x86 qemu-utils shim-helpers-amd64-signed shim-unsigned vlc-bin
  vlc-data vlc-plugin-base
112 upgraded, 1 newly installed, 0 to remove and 0 not upgraded.
Need to get 293 MB of archives.
After this operation, 270 MB of additional disk space will be used.
[..]
Processing triggers for shim-signed:amd64 (1.33+15+1533136590.3beb971-7) ...
Processing triggers for libvlc-bin:amd64 (3.0.11-0+deb10u1) ...

and check boot order

tingo@kg-vm4:~$ efibootmgr
BootCurrent: 0000
Timeout: 5 seconds
BootOrder: 0000,0001,0002,0003,0004
Boot0000* debian
Boot0001* FreeBSD
Boot0002* Hard Drive
Boot0003* USB
Boot0004* UEFI: Built-in EFI Shell

looks ok.

2020-08-10: sdb3 - document boot order

tingo@kg-vm4:~$ efibootmgr
BootCurrent: 0000
Timeout: 5 seconds
BootOrder: 0000,0001,0005,0002,0003,0004
Boot0000* debian
Boot0001* FreeBSD
Boot0002* Hard Drive
Boot0003* USB
Boot0004* UEFI: Built-in EFI Shell
Boot0005* debian

verbose too

tingo@kg-vm4:~$ efibootmgr -v
BootCurrent: 0000
Timeout: 5 seconds
BootOrder: 0000,0001,0005,0002,0003,0004
Boot0000* debian    HD(1,GPT,bcf935df-c6b3-43d4-806b-07619ef9edce,0x800,0x100000)/File(\EFI\DEBIAN\SHIMX64.EFI)
Boot0001* FreeBSD    HD(1,GPT,0037b32d-b328-11e5-87c5-bc5ff4fb29ea,0x22,0x32000)/File(\EFI\BOOT\BOOTX64.EFI)
Boot0002* Hard Drive     BBS(HD,,0x0)..GO..NO........o.O.C.Z.-.T.R.I.O.N.1.0.0....................A...........................>..Gd-.;.A..MQ..L.5.8.B.P.2.5.7.I.M.K.X.C. . . . . . . . ........BO..NO........o.I.N.T.E.L. .S.S.D.S.C.2.B.W.1.8.0.A.4....................A...........................>..Gd-.;.A..MQ..L.T.B.A.D.2.3.2.7.3.0.3.6.8.1.2.0.N.G. . ........BO..NO........o.S.T.4.0.0.0.D.M.0.0.0.-.1.F.2.1.6.8....................A...........................>..Gd-.;.A..MQ..L. . . . . . . . . . . . .3.S.0.0.5.R.Q.7........BO
Boot0003* USB     BBS(USB,,0x0)..GO..NO........y.G.e.n.e.r.i.c. .S.T.O.R.A.G.E. .D.E.V.I.C.E. .0.9.0.3....................A.......................L..Gd-.;.A..MQ..L.G.e.n.e.r.i.c. .S.T.O.R.A.G.E. .D.E.V.I.C.E. .0.9.0.3........BO
Boot0004* UEFI: Built-in EFI Shell     VenMedia(5023b95c-db26-429b-a648-bd47664c8012)..BO
Boot0005* debian    HD(1,GPT,bcf935df-c6b3-43d4-806b-07619ef9edce,0x800,0x100000)/File(\EFI\DEBIAN\GRUBX64.EFI)..BO

ok.

2020-08-08: sdb3 - hostnamectl is useful

tingo@kg-vm4:~$ hostnamectl
   Static hostname: kg-vm4
         Icon name: computer-desktop
           Chassis: desktop
        Machine ID: c20973606696431d9fa21d0d2d32776a
           Boot ID: c6cc083d084c4842b5a52410c8f1f86d
  Operating System: Debian GNU/Linux 10 (buster)
            Kernel: Linux 4.19.0-9-amd64
      Architecture: x86-64

ok.

2020-05-09: sdb3 - reboot, and Debian 10.4 is in

tingo@kg-vm4:~$ cat /etc/debian_version
10.4

kernel

tingo@kg-vm4:~$ uname -a
Linux kg-vm4 4.19.0-9-amd64 #1 SMP Debian 4.19.118-2 (2020-04-29) x86_64 GNU/Linux

ok

2020-05-09: sdb3 - apt - upgrade

tingo@kg-vm4:~$ sudo apt upgrade
Reading package lists... Done
Building dependency tree      
Reading state information... Done
Calculating upgrade... Done
The following package was automatically installed and is no longer required:
  libmicrodns0
Use 'sudo apt autoremove' to remove it.
The following NEW packages will be installed:
  linux-image-4.19.0-9-amd64
The following packages will be upgraded:
  base-files cups cups-bsd cups-client cups-common cups-core-drivers cups-daemon cups-ipp-utils cups-ppdc cups-server-common
  distro-info-data firefox-esr fonts-opensymbol fuse gir1.2-javascriptcoregtk-4.0 gir1.2-pango-1.0 gir1.2-webkit2-4.0 git
  git-man iputils-ping libbluetooth3 libbrlapi0.6 libcups2 libcupsimage2 libel-api-java libfuse2 libgnutls-dane0
  libgnutls-openssl27 libgnutls30 libicu63 libjavascriptcoregtk-4.0-18 libjsp-api-java libldap-2.4-2 libldap-common
  libnss-systemd libpam-systemd libpango-1.0-0 libpango1.0-0 libpangocairo-1.0-0 libpangoft2-1.0-0 libpangoxft-1.0-0
  libreoffice libreoffice-avmedia-backend-gstreamer libreoffice-base libreoffice-base-core libreoffice-base-drivers
  libreoffice-calc libreoffice-common libreoffice-core libreoffice-draw libreoffice-gtk2 libreoffice-help-common
  libreoffice-help-en-us libreoffice-impress libreoffice-java-common libreoffice-librelogo libreoffice-math
  libreoffice-nlpsolver libreoffice-ogltrans libreoffice-pdfimport libreoffice-report-builder libreoffice-report-builder-bin
  libreoffice-script-provider-bsh libreoffice-script-provider-js libreoffice-script-provider-python libreoffice-sdbc-firebird
  libreoffice-sdbc-hsqldb libreoffice-sdbc-postgresql libreoffice-style-colibre libreoffice-style-tango
  libreoffice-wiki-publisher libreoffice-writer libspeechd2 libssh-gcrypt-4 libssl1.1 libsystemd0 libtag1v5 libtag1v5-vanilla
  libtagc0 libudev1 libvlc-bin libvlc5 libvlccore9 libwebkit2gtk-4.0-37 libwebsocket-api-java linux-image-4.19.0-8-amd64
  linux-image-amd64 linux-libc-dev openjdk-11-jre openjdk-11-jre-headless openssl ovmf python3-brlapi python3-renderpm
  python3-reportlab python3-reportlab-accel python3-speechd python3-uno qemu-kvm qemu-system-common qemu-system-data
  qemu-system-gui qemu-system-x86 qemu-utils speech-dispatcher speech-dispatcher-audio-plugins speech-dispatcher-espeak-ng
  systemd systemd-sysv tzdata udev uno-libs3 ure vlc-bin vlc-data vlc-plugin-base wpasupplicant xbrlapi xdg-utils
119 upgraded, 1 newly installed, 0 to remove and 0 not upgraded.
Need to get 357 MB of archives.
After this operation, 275 MB of additional disk space will be used.
[..]
update-initramfs: Generating /boot/initrd.img-4.19.0-9-amd64
Processing triggers for libvlc-bin:amd64 (3.0.10-0+deb10u1) ...

autoremove

tingo@kg-vm4:~$ sudo apt autoremove
Reading package lists... Done
Building dependency tree      
Reading state information... Done
The following packages will be REMOVED:
  libmicrodns0 linux-image-4.19.0-6-amd64
0 upgraded, 0 newly installed, 2 to remove and 0 not upgraded.
After this operation, 269 MB disk space will be freed.
[..]
Adding boot menu entry for EFI firmware configuration
done
Processing triggers for libc-bin (2.28-10) ...

ok

2020-04-23: vm4 - from my FreeBSD workstation, i did ssh -Y vm4, and in that window I started vuescan, which informed me that it couldn't detect any scanners. When I quit vuescan , c2 got a panic. Interesting in /var/log/messages (on vm4, not c2)

Apr 23 17:26:05 kg-vm4 kernel: [5870862.086793] usb 3-1: new high-speed USB device number 3 using ehci-pci
Apr 23 17:26:05 kg-vm4 kernel: [5870862.249028] usb 3-1: New USB device found, idVendor=07b3, idProduct=0c3b, bcdDevice= 4.00
Apr 23 17:26:05 kg-vm4 kernel: [5870862.249034] usb 3-1: New USB device strings: Mfr=10, Product=11, SerialNumber=12
Apr 23 17:26:05 kg-vm4 kernel: [5870862.249037] usb 3-1: Product: Film Scanner 
Apr 23 17:26:05 kg-vm4 kernel: [5870862.249039] usb 3-1: Manufacturer: Plustek INC
Apr 23 17:26:05 kg-vm4 kernel: [5870862.249042] usb 3-1: SerialNumber: 1
Apr 23 17:26:05 kg-vm4 mtp-probe: checking bus 3, device 3: "/sys/devices/pci0000:00/0000:00:12.2/usb3/3-1"
Apr 23 17:26:05 kg-vm4 mtp-probe: bus: 3, device: 3 was not an MTP device
Apr 23 17:26:05 kg-vm4 mtp-probe: checking bus 3, device 3: "/sys/devices/pci0000:00/0000:00:12.2/usb3/3-1"
Apr 23 17:26:05 kg-vm4 mtp-probe: bus: 3, device: 3 was not an MTP device
Apr 23 17:43:31 kg-vm4 kernel: [5871908.025228] firefox-esr[26995]: segfault at 0 ip 00007f0c01e454c1 sp 00007ffc8472f250 error 6 in libxul.so[7f0c01dd7000+4172000]
Apr 23 17:43:31 kg-vm4 kernel: [5871908.025239] Code: 89 10 c7 04 25 00 00 00 00 50 00 00 00 e8 8f c8 f9 ff c6 05 6a 42 43 06 01 48 8b 05 11 d2 32 06 48 8d 15 ba 5c 2a 05 48 89 10 <c7> 04 25 00 00 00 00 70 01 00 00 e8 67 c8 f9 ff 50 48 8b 05 ef d1

so, perhaps vuescan tries to open the default web browser when it quits, and that's when my FreeBSD workstation panics?

2020-04-23: vm4 - I downloaded vuescan, now try to install it

tingo@kg-vm4:~/dl/lin$ sudo dpkg -i vuex6497.deb
Selecting previously unselected package vuescan.
(Reading database ... 154320 files and directories currently installed.)
Preparing to unpack vuex6497.deb ...
Unpacking vuescan (9.7.27.0-0) ...
Setting up vuescan (9.7.27.0-0) ...
Processing triggers for hicolor-icon-theme (0.17-2) ...
Processing triggers for desktop-file-utils (0.23-4) ...
Processing triggers for mime-support (3.62) ...

files and stuff

tingo@kg-vm4:~/dl/lin$ dpkg-query -L vuescan
/.
/usr
/usr/bin
/usr/bin/vuescan
/usr/share
/usr/share/icons
/usr/share/icons/hicolor
/usr/share/icons/hicolor/scalable
/usr/share/icons/hicolor/scalable/apps
/usr/share/icons/hicolor/scalable/apps/vuescan.svg
/usr/share/appdata
/usr/share/appdata/vuescan.appdata.xml
/usr/share/applications
/usr/share/applications/vuescan.desktop
/lib
/lib/udev
/lib/udev/rules.d
/lib/udev/rules.d/60-vuescan.rules

hmm, what does that udev rules script specify? Oh, just a bunch of "mode 0666" for the scanner models.

2020-03-27: sdb3 - apt - install avahi-utils

tingo@kg-vm4:~$ sudo apt install avahi-utils
Reading package lists... Done
Building dependency tree      
Reading state information... Done
The following NEW packages will be installed:
  avahi-utils
0 upgraded, 1 newly installed, 0 to remove and 0 not upgraded.
Need to get 57.3 kB of archives.
After this operation, 161 kB of additional disk space will be used.
[..]
Setting up avahi-utils (0.7-4+b1) ...
Processing triggers for man-db (2.8.5-2) ...

files

tingo@kg-vm4:~$ dpkg-query -L avahi-utils
/.
/usr
/usr/bin
/usr/bin/avahi-browse
/usr/bin/avahi-publish
/usr/bin/avahi-resolve
/usr/bin/avahi-set-host-name
/usr/share
/usr/share/doc
/usr/share/doc/avahi-utils
/usr/share/doc/avahi-utils/NEWS.gz
/usr/share/doc/avahi-utils/README
/usr/share/doc/avahi-utils/changelog.Debian.amd64.gz
/usr/share/doc/avahi-utils/changelog.Debian.gz
/usr/share/doc/avahi-utils/copyright
/usr/share/man
/usr/share/man/man1
/usr/share/man/man1/avahi-browse.1.gz
/usr/share/man/man1/avahi-publish.1.gz
/usr/share/man/man1/avahi-resolve.1.gz
/usr/share/man/man1/avahi-set-host-name.1.gz
/usr/bin/avahi-browse-domains
/usr/bin/avahi-publish-address
/usr/bin/avahi-publish-service
/usr/bin/avahi-resolve-address
/usr/bin/avahi-resolve-host-name
/usr/share/man/man1/avahi-browse-domains.1.gz
/usr/share/man/man1/avahi-publish-address.1.gz
/usr/share/man/man1/avahi-publish-service.1.gz
/usr/share/man/man1/avahi-resolve-address.1.gz
/usr/share/man/man1/avahi-resolve-host-name.1.gz

ok

2020-03-18: sdb3 - xpra - start a Xfce desktop on a server:

tingo@kg-vm4:~$ xpra start-desktop --start=xfce4-session
tingo@kg-vm4:~$ Entering daemon mode; any further errors will be reported to:
  /run/user/1000/xpra/S20087.log
Actual display used: :1
Actual log file name is now: /run/user/1000/xpra/:1.log

(it doesn't detach)

and then I can connect to it like this from another machine

tingo@kg-core2$ xpra attach ssh:tingo@vm4:1

(it will ask for ssh password, then connect)

2020-03-18: sdb3 - Seamly2D - run qmake

tingo@kg-vm4:~/work/seamly2d/Seamly2D$ QT_SELECT=5 qmake PREFIX=/usr/local  Seamly2D.pro -r CONFIG+=noDebugSymbols CONFIG+=no_ccache
Project MESSAGE: Seamly2D.pro: Unknown GCC configuration
Reading /zs/work/seamly2d/Seamly2D/src/src.pro
 Reading /zs/work/seamly2d/Seamly2D/src/libs/libs.pro
  Reading /zs/work/seamly2d/Seamly2D/src/libs/qmuparser/qmuparser.pro
  Reading /zs/work/seamly2d/Seamly2D/src/libs/vpropertyexplorer/vpropertyexplorer.pro
  Reading /zs/work/seamly2d/Seamly2D/src/libs/ifc/ifc.pro
  Reading /zs/work/seamly2d/Seamly2D/src/libs/vobj/vobj.pro
  Reading /zs/work/seamly2d/Seamly2D/src/libs/vdxf/vdxf.pro
Project MESSAGE: warnings.pri: Qt version: /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++
  Reading /zs/work/seamly2d/Seamly2D/src/libs/vlayout/vlayout.pro
  Reading /zs/work/seamly2d/Seamly2D/src/libs/vgeometry/vgeometry.pro
  Reading /zs/work/seamly2d/Seamly2D/src/libs/vpatterndb/vpatterndb.pro
  Reading /zs/work/seamly2d/Seamly2D/src/libs/vmisc/vmisc.pro
Project MESSAGE: vmisc.pro: Latest tag distance: 54
  Reading /zs/work/seamly2d/Seamly2D/src/libs/vwidgets/vwidgets.pro
  Reading /zs/work/seamly2d/Seamly2D/src/libs/vtools/vtools.pro
  Reading /zs/work/seamly2d/Seamly2D/src/libs/vformat/vformat.pro
  Reading /zs/work/seamly2d/Seamly2D/src/libs/fervor/fervor.pro
  Reading /zs/work/seamly2d/Seamly2D/src/libs/vtest/vtest.pro
Project MESSAGE: vtest.pro: Latest tag distance: 54
 Reading /zs/work/seamly2d/Seamly2D/src/app/app.pro
  Reading /zs/work/seamly2d/Seamly2D/src/app/seamlyme/seamlyme.pro
Project MESSAGE: common.pri: Latest commit hash: 15d307257f72
Project MESSAGE: seamlyme.pro: Build revision: \"Git:15d307257f72\"
  Reading /zs/work/seamly2d/Seamly2D/src/app/seamly2d/seamly2d.pro
Project MESSAGE: common.pri: Latest commit hash: 15d307257f72
Project MESSAGE: seamly2d.pro: Build revision: \"Git:15d307257f72\"
Project MESSAGE: seamly2d.pro: Qt version: 5.11.3
Project MESSAGE: seamly2d.pro: Qt is installed in /usr
Project MESSAGE: seamly2d.pro: Qt resources can be found in the following locations:
Project MESSAGE: seamly2d.pro: Documentation: /usr/share/qt5/doc
Project MESSAGE: seamly2d.pro: Header files: /usr/include/x86_64-linux-gnu/qt5
Project MESSAGE: seamly2d.pro: Libraries: /usr/lib/x86_64-linux-gnu
Project MESSAGE: seamly2d.pro: Binary files (executables): /usr/lib/qt5/bin
Project MESSAGE: seamly2d.pro: Plugins: /usr/lib/x86_64-linux-gnu/qt5/plugins
Project MESSAGE: seamly2d.pro: Data files: /usr/share/qt5
Project MESSAGE: seamly2d.pro: Translation files: /usr/share/qt5/translations
Project MESSAGE: seamly2d.pro: Settings:
Project MESSAGE: seamly2d.pro: Examples: /usr/lib/x86_64-linux-gnu/qt5/examples
 Reading /zs/work/seamly2d/Seamly2D/src/test/test.pro
  Reading /zs/work/seamly2d/Seamly2D/src/test/ParserTest/ParserTest.pro
  Reading /zs/work/seamly2d/Seamly2D/src/test/Seamly2DTest/Seamly2DTest.pro
  Reading /zs/work/seamly2d/Seamly2D/src/test/TranslationsTest/TranslationsTest.pro
  Reading /zs/work/seamly2d/Seamly2D/src/test/CollectionTest/CollectionTest.pro

it worked!

make - also worked. and sudo make install worked, now I have

tingo@kg-vm4:~/work/seamly2d/Seamly2D$ l /usr/local/bin
./  ../  seamly2d*  seamlyme*

and seamly2d also in the menu (Application, Graphics, Seamly2D)

2020-03-18: sdb3 - apt - install qtquickcontrols2-5-dev

tingo@kg-vm4:~$ sudo apt install qtquickcontrols2-5-dev
Reading package lists... Done
Building dependency tree      
Reading state information... Done
The following additional packages will be installed:
  libqt5quickcontrols2-5 libqt5quicktemplates2-5
The following NEW packages will be installed:
  libqt5quickcontrols2-5 libqt5quicktemplates2-5 qtquickcontrols2-5-dev
0 upgraded, 3 newly installed, 0 to remove and 0 not upgraded.
Need to get 378 kB of archives.
After this operation, 1,789 kB of additional disk space will be used.
[..]
Setting up qtquickcontrols2-5-dev:amd64 (5.11.3+dfsg-2) ...
Processing triggers for libc-bin (2.28-10) ...

install qtscript5-dev

tingo@kg-vm4:~$ sudo apt install qtscript5-dev
Reading package lists... Done
Building dependency tree      
Reading state information... Done
The following additional packages will be installed:
  libqt5scripttools5
The following NEW packages will be installed:
  libqt5scripttools5 qtscript5-dev
0 upgraded, 2 newly installed, 0 to remove and 0 not upgraded.
Need to get 250 kB of archives.
After this operation, 1,094 kB of additional disk space will be used.
[..]
Setting up qtscript5-dev:amd64 (5.11.3+dfsg-3) ...
Processing triggers for libc-bin (2.28-10) ...

install qtwebengine5-dev

tingo@kg-vm4:~$ sudo apt install qtwebengine5-dev
Reading package lists... Done
Building dependency tree      
Reading state information... Done
The following additional packages will be installed:
  libqt5location5 libqt5positioning5-plugins libqt5positioningquick5 libqt5webchannel5-dev libqt5webengine-data libqt5webengine5 libqt5webenginecore5
  libqt5webenginewidgets5 libre2-5 qtpositioning5-dev
The following NEW packages will be installed:
  libqt5location5 libqt5positioning5-plugins libqt5positioningquick5 libqt5webchannel5-dev libqt5webengine-data libqt5webengine5 libqt5webenginecore5
  libqt5webenginewidgets5 libre2-5 qtpositioning5-dev qtwebengine5-dev
0 upgraded, 11 newly installed, 0 to remove and 0 not upgraded.
Need to get 36.1 MB of archives.
After this operation, 134 MB of additional disk space will be used.
[..]
Setting up qtwebengine5-dev:amd64 (5.11.3+dfsg-2+deb10u1) ...
Processing triggers for libc-bin (2.28-10) ...

install libqt5xmlpatterns5-dev

tingo@kg-vm4:~$ sudo apt install libqt5xmlpatterns5-dev
Reading package lists... Done
Building dependency tree      
Reading state information... Done
The following NEW packages will be installed:
  libqt5xmlpatterns5-dev
0 upgraded, 1 newly installed, 0 to remove and 0 not upgraded.
Need to get 20.8 kB of archives.
After this operation, 140 kB of additional disk space will be used.
[..]
Setting up libqt5xmlpatterns5-dev:amd64 (5.11.3-2) ...

ok, that helped with the first hurdle. Now install libqt5svg5-dev

tingo@kg-vm4:~$ sudo apt install libqt5svg5-dev
Reading package lists... Done
Building dependency tree      
Reading state information... Done
The following NEW packages will be installed:
  libqt5svg5-dev
0 upgraded, 1 newly installed, 0 to remove and 0 not upgraded.
Need to get 14.1 kB of archives.
After this operation, 73.7 kB of additional disk space will be used.
[..]
Setting up libqt5svg5-dev:amd64 (5.11.3-2) ...

and try to run qmake now.

2020-03-17: sdb3 - apt - install more qt5* packages, first qt3d5-dev

tingo@kg-vm4:~$ sudo apt install qt3d5-dev
Reading package lists... Done
Building dependency tree      
Reading state information... Done
The following additional packages will be installed:
  libqt53danimation5 libqt53dcore5 libqt53dextras5 libqt53dinput5 libqt53dlogic5 libqt53dquick5 libqt53dquickanimation5 libqt53dquickextras5
  libqt53dquickinput5 libqt53dquickrender5 libqt53dquickscene2d5 libqt53drender5
Suggested packages:
  qt3d5-doc-html
The following NEW packages will be installed:
  libqt53danimation5 libqt53dcore5 libqt53dextras5 libqt53dinput5 libqt53dlogic5 libqt53dquick5 libqt53dquickanimation5 libqt53dquickextras5
  libqt53dquickinput5 libqt53dquickrender5 libqt53dquickscene2d5 libqt53drender5 qt3d5-dev
0 upgraded, 13 newly installed, 0 to remove and 0 not upgraded.
Need to get 1,613 kB of archives.
After this operation, 8,209 kB of additional disk space will be used.
[..]
Setting up qt3d5-dev:amd64 (5.11.3+dfsg-2) ...
Processing triggers for libc-bin (2.28-10) ...

install qt3d5-dev-tools

tingo@kg-vm4:~$ sudo apt install qt3d5-dev-tools
Reading package lists... Done
Building dependency tree      
Reading state information... Done
The following additional packages will be installed:
  libassimp4 libminizip1
The following NEW packages will be installed:
  libassimp4 libminizip1 qt3d5-dev-tools
0 upgraded, 3 newly installed, 0 to remove and 0 not upgraded.
Need to get 2,122 kB of archives.
After this operation, 8,425 kB of additional disk space will be used.
[..]
Setting up qt3d5-dev-tools (5.11.3+dfsg-2) ...
Processing triggers for libc-bin (2.28-10) ...

install qt5-default

tingo@kg-vm4:~$ sudo apt install qt5-default
Reading package lists... Done
Building dependency tree      
Reading state information... Done
The following NEW packages will be installed:
  qt5-default
0 upgraded, 1 newly installed, 0 to remove and 0 not upgraded.
Need to get 48.2 kB of archives.
After this operation, 150 kB of additional disk space will be used.
[..]
Unpacking qt5-default:amd64 (5.11.3+dfsg1-1+deb10u3) ...
Setting up qt5-default:amd64 (5.11.3+dfsg1-1+deb10u3) ...

install qt5-image-formats-plugins

tingo@kg-vm4:~$ sudo apt install qt5-image-formats-plugins
Reading package lists... Done
Building dependency tree      
Reading state information... Done
The following NEW packages will be installed:
  qt5-image-formats-plugins
0 upgraded, 1 newly installed, 0 to remove and 0 not upgraded.
Need to get 45.6 kB of archives.
After this operation, 218 kB of additional disk space will be used.
[..]
Setting up qt5-image-formats-plugins:amd64 (5.11.3-2) ...

install qt5-style-kvantum

tingo@kg-vm4:~$ sudo apt install qt5-style-kvantum
Reading package lists... Done
Building dependency tree      
Reading state information... Done
The following additional packages will be installed:
  kwayland-data kwayland-integration libkf5idletime5 libkf5waylandclient5 libkf5windowsystem-data libkf5windowsystem5 libqt5waylandclient5
  libqt5waylandcompositor5 libqt5x11extras5 qt5-style-kvantum-l10n qt5-style-kvantum-themes qtwayland5
The following NEW packages will be installed:
  kwayland-data kwayland-integration libkf5idletime5 libkf5waylandclient5 libkf5windowsystem-data libkf5windowsystem5 libqt5waylandclient5
  libqt5waylandcompositor5 libqt5x11extras5 qt5-style-kvantum qt5-style-kvantum-l10n qt5-style-kvantum-themes qtwayland5
0 upgraded, 13 newly installed, 0 to remove and 0 not upgraded.
Need to get 1,932 kB/1,944 kB of archives.
After this operation, 12.7 MB of additional disk space will be used.
[..]
Setting up qt5-style-kvantum (0.10.9+repack-2) ...
Setting up kwayland-integration:amd64 (5.14.5-1) ...
Processing triggers for mime-support (3.62) ...
Processing triggers for hicolor-icon-theme (0.17-2) ...
Processing triggers for libc-bin (2.28-10) ...
Processing triggers for desktop-file-utils (0.23-4) ...

install qt5ct

tingo@kg-vm4:~$ sudo apt install qt5ct
Reading package lists... Done
Building dependency tree      
Reading state information... Done
Suggested packages:
  qt5-style-plugins
The following NEW packages will be installed:
  qt5ct
0 upgraded, 1 newly installed, 0 to remove and 0 not upgraded.
Need to get 199 kB of archives.
After this operation, 912 kB of additional disk space will be used.
[..]
Setting up qt5ct (0.37-1) ...
Processing triggers for desktop-file-utils (0.23-4) ...
Processing triggers for mime-support (3.62) ...
Processing triggers for man-db (2.8.5-2) ...

install qt5dxcb-plugin

tingo@kg-vm4:~$ sudo apt install qt5dxcb-plugin
Reading package lists... Done
Building dependency tree      
Reading state information... Done
The following additional packages will be installed:
  libxcb-composite0 libxcb-damage0
The following NEW packages will be installed:
  libxcb-composite0 libxcb-damage0 qt5dxcb-plugin
0 upgraded, 3 newly installed, 0 to remove and 0 not upgraded.
Need to get 345 kB of archives.
After this operation, 685 kB of additional disk space will be used.
[..]
Setting up qt5dxcb-plugin:amd64 (1.1.24-1) ...
Processing triggers for libc-bin (2.28-10) ...

install qtmultimedia5-dev

tingo@kg-vm4:~$ sudo apt install qtmultimedia5-dev
Reading package lists... Done
Building dependency tree      
Reading state information... Done
The following additional packages will be installed:
  libblkid-dev libglib2.0-dev libglib2.0-dev-bin libmount-dev libpcre16-3 libpcre3-dev libpcre32-3 libpcrecpp0v5 libpulse-dev libqt5multimedia5
  libqt5multimediagsttools5 libqt5multimediaquick5 libqt5multimediawidgets5 libselinux1-dev libsepol1-dev
Suggested packages:
  libglib2.0-doc
The following NEW packages will be installed:
  libblkid-dev libglib2.0-dev libglib2.0-dev-bin libmount-dev libpcre16-3 libpcre3-dev libpcre32-3 libpcrecpp0v5 libpulse-dev libqt5multimedia5
  libqt5multimediagsttools5 libqt5multimediaquick5 libqt5multimediawidgets5 libselinux1-dev libsepol1-dev qtmultimedia5-dev
0 upgraded, 16 newly installed, 0 to remove and 0 not upgraded.
Need to get 4,261 kB/4,520 kB of archives.
After this operation, 21.1 MB of additional disk space will be used.
[..]
Setting up qtmultimedia5-dev:amd64 (5.11.3-2) ...

ok

2020-03-17: sdb3 - Seamly2D - it didn't help, qmake still fails with

tingo@kg-vm4:~/work/seamly2d/Seamly2D$ QT_SELECT=5 qmake PREFIX=/usr/local  Seamly2D.pro -r CONFIG+=noDebugSymbols CONFIG+=no_ccache
Project MESSAGE: Seamly2D.pro: Unknown GCC configuration
Reading /zs/work/seamly2d/Seamly2D/src/src.pro
 Reading /zs/work/seamly2d/Seamly2D/src/libs/libs.pro
  Reading /zs/work/seamly2d/Seamly2D/src/libs/qmuparser/qmuparser.pro
  Reading /zs/work/seamly2d/Seamly2D/src/libs/vpropertyexplorer/vpropertyexplorer.pro
  Reading /zs/work/seamly2d/Seamly2D/src/libs/ifc/ifc.pro
Project ERROR: Unknown module(s) in QT: xmlpatterns

oh well.

2020-03-17: sdb3 - apt - install qtcreator

tingo@kg-vm4:~$ sudo apt install qtcreator
Reading package lists... Done
Building dependency tree      
Reading state information... Done
The following additional packages will be installed:
  binfmt-support clang clang-7 lib32gcc1 lib32stdc++6 libbotan-2-9 libc6-i386 libclang-common-7-dev libffi-dev libncurses-dev libobjc-8-dev libobjc4
  libomp-7-dev libomp5-7 libqbscore1.12 libqbsqtprofilesetup1.12 libqt5script5 libqt5serialport5 libtinfo-dev libtspi1 llvm-7 llvm-7-dev llvm-7-runtime
  qbs-common qml-module-qtgraphicaleffects qml-module-qtqml-models2 qml-module-qtquick-controls qml-module-qtquick-layouts qml-module-qtquick-window2
  qml-module-qtquick2 qmlscene qt3d5-doc qt5-doc qtbase5-doc qtcharts5-doc qtconnectivity5-doc qtcreator-data qtcreator-doc qtdeclarative5-doc
  qtgraphicaleffects5-doc qtlocation5-doc qtmultimedia5-doc qtquickcontrols2-5-doc qtquickcontrols5-doc qtscript5-doc qtsensors5-doc qtserialport5-doc
  qtsvg5-doc qttools5-doc qtvirtualkeyboard5-doc qtwayland5-doc qtwebchannel5-doc qtwebengine5-doc qtwebsockets5-doc qtwebview5-doc qtx11extras5-doc
  qtxmlpatterns5-doc
Suggested packages:
  clang-7-doc ncurses-doc libomp-7-doc llvm-7-doc clazy cmake kate-data subversion valgrind
The following NEW packages will be installed:
  binfmt-support clang clang-7 lib32gcc1 lib32stdc++6 libbotan-2-9 libc6-i386 libclang-common-7-dev libffi-dev libncurses-dev libobjc-8-dev libobjc4
  libomp-7-dev libomp5-7 libqbscore1.12 libqbsqtprofilesetup1.12 libqt5script5 libqt5serialport5 libtinfo-dev libtspi1 llvm-7 llvm-7-dev llvm-7-runtime
  qbs-common qml-module-qtgraphicaleffects qml-module-qtqml-models2 qml-module-qtquick-controls qml-module-qtquick-layouts qml-module-qtquick-window2
  qml-module-qtquick2 qmlscene qt3d5-doc qt5-doc qtbase5-doc qtcharts5-doc qtconnectivity5-doc qtcreator qtcreator-data qtcreator-doc qtdeclarative5-doc
  qtgraphicaleffects5-doc qtlocation5-doc qtmultimedia5-doc qtquickcontrols2-5-doc qtquickcontrols5-doc qtscript5-doc qtsensors5-doc qtserialport5-doc
  qtsvg5-doc qttools5-doc qtvirtualkeyboard5-doc qtwayland5-doc qtwebchannel5-doc qtwebengine5-doc qtwebsockets5-doc qtwebview5-doc qtx11extras5-doc
  qtxmlpatterns5-doc
0 upgraded, 58 newly installed, 0 to remove and 0 not upgraded.
Need to get 204 MB of archives.
After this operation, 553 MB of additional disk space will be used.
[..]
Setting up qtcreator (4.8.2-1) ...
Setting up clang (1:7.0-47) ...
Processing triggers for install-info (6.5.0.dfsg.1-4+b1) ...
Processing triggers for desktop-file-utils (0.23-4) ...
Processing triggers for mime-support (3.62) ...
Processing triggers for hicolor-icon-theme (0.17-2) ...
Processing triggers for libc-bin (2.28-10) ...
Processing triggers for systemd (241-7~deb10u3) ...
Processing triggers for man-db (2.8.5-2) ...
Processing triggers for shared-mime-info (1.10-1) ...

ok

2020-03-17: sdb3 - qt - currently, the following packages are installed

tingo@kg-vm4:~$ sudo apt list --installed qt*
Listing... Done
qt5-assistant/stable,now 5.11.3-4 amd64 [installed,automatic]
qt5-gtk-platformtheme/stable,now 5.11.3+dfsg1-1+deb10u3 amd64 [installed,automatic]
qt5-qmake-bin/stable,now 5.11.3+dfsg1-1+deb10u3 amd64 [installed,automatic]
qt5-qmake/stable,now 5.11.3+dfsg1-1+deb10u3 amd64 [installed,automatic]
qt5-qmltooling-plugins/stable,now 5.11.3-4 amd64 [installed,automatic]
qtbase5-dev-tools/stable,now 5.11.3+dfsg1-1+deb10u3 amd64 [installed,automatic]
qtbase5-dev/stable,now 5.11.3+dfsg1-1+deb10u3 amd64 [installed]
qtchooser/stable,now 66-2 amd64 [installed,automatic]
qtdeclarative5-dev-tools/stable,now 5.11.3-4 amd64 [installed]
qtdeclarative5-dev/stable,now 5.11.3-4 amd64 [installed]
qttools5-dev-tools/stable,now 5.11.3-4 amd64 [installed,automatic]
qttools5-dev/stable,now 5.11.3-4 amd64 [installed]
qttranslations5-l10n/stable,now 5.11.3-2 all [installed,automatic]
qtxmlpatterns5-dev-tools/stable,now 5.11.3-2 amd64 [installed]

ok

2020-03-17: sdb3 - apt - install qtdeclarative5-dev

tingo@kg-vm4:~$ sudo apt install qtdeclarative5-dev
Reading package lists... Done
Building dependency tree      
Reading state information... Done
The following additional packages will be installed:
  libqt5quickparticles5 qt5-qmltooling-plugins
The following NEW packages will be installed:
  libqt5quickparticles5 qt5-qmltooling-plugins qtdeclarative5-dev
0 upgraded, 3 newly installed, 0 to remove and 0 not upgraded.
Need to get 658 kB of archives.
After this operation, 4,138 kB of additional disk space will be used.
[..]
Setting up qt5-qmltooling-plugins:amd64 (5.11.3-4) ...
Setting up libqt5quickparticles5:amd64 (5.11.3-4) ...
Setting up qtdeclarative5-dev:amd64 (5.11.3-4) ...
Processing triggers for libc-bin (2.28-10) ...

ok

2020-03-17: sdb3 - apt - install qttools5-dev

tingo@kg-vm4:~$ sudo apt install qttools5-dev
Reading package lists... Done
Building dependency tree      
Reading state information... Done
The following additional packages will be installed:
  geoclue-2.0 iio-sensor-proxy libclang1-7 libqt5designercomponents5 libqt5positioning5 libqt5quickwidgets5 libqt5sensors5 libqt5webchannel5
  libqt5webkit5 qdoc-qt5 qt5-assistant qttools5-dev-tools
Suggested packages:
  qt5-doc
The following NEW packages will be installed:
  geoclue-2.0 iio-sensor-proxy libclang1-7 libqt5designercomponents5 libqt5positioning5 libqt5quickwidgets5 libqt5sensors5 libqt5webchannel5
  libqt5webkit5 qdoc-qt5 qt5-assistant qttools5-dev qttools5-dev-tools
0 upgraded, 13 newly installed, 0 to remove and 0 not upgraded.
Need to get 21.5 MB of archives.
After this operation, 87.8 MB of additional disk space will be used.
[..]
Setting up qttools5-dev-tools (5.11.3-4) ...
Setting up qttools5-dev:amd64 (5.11.3-4) ...
Processing triggers for mime-support (3.62) ...
Processing triggers for libc-bin (2.28-10) ...
Processing triggers for man-db (2.8.5-2) ...
Processing triggers for dbus (1.12.16-1) ...
Processing triggers for desktop-file-utils (0.23-4) ...

ok

2020-03-17: sdb3 - Seamly2D - try again

tingo@kg-vm4:~/work/seamly2d/Seamly2D$ QT_SELECT=5 qmake PREFIX=/usr/local  Seamly2D.pro -r CONFIG+=noDebugSymbols CONFIG+=no_ccache
Info: creating stash file /zs/work/seamly2d/Seamly2D/.qmake.stash
Project MESSAGE: Seamly2D.pro: Unknown GCC configuration
Reading /zs/work/seamly2d/Seamly2D/src/src.pro
 Reading /zs/work/seamly2d/Seamly2D/src/libs/libs.pro
  Reading /zs/work/seamly2d/Seamly2D/src/libs/qmuparser/qmuparser.pro
  Reading /zs/work/seamly2d/Seamly2D/src/libs/vpropertyexplorer/vpropertyexplorer.pro
  Reading /zs/work/seamly2d/Seamly2D/src/libs/ifc/ifc.pro
Project ERROR: Unknown module(s) in QT: xmlpatterns

so, not better.

2020-03-17: sdb3 - apt - install python3-pyqt5.qtxmlpatterns

tingo@kg-vm4:~$ sudo apt install python3-pyqt5.qtxmlpatterns
Reading package lists... Done
Building dependency tree      
Reading state information... Done
The following additional packages will be installed:
  libqt5designer5 libqt5help5 python3-pyqt5 python3-sip
Suggested packages:
  python3-pyqt5-dbg
The following NEW packages will be installed:
  libqt5designer5 libqt5help5 python3-pyqt5 python3-pyqt5.qtxmlpatterns python3-sip
0 upgraded, 5 newly installed, 0 to remove and 0 not upgraded.
Need to get 5,608 kB of archives.
After this operation, 23.0 MB of additional disk space will be used.
[..]
Setting up python3-pyqt5.qtxmlpatterns (5.11.3+dfsg-1+b3) ...
Processing triggers for libc-bin (2.28-10) ...

install python-pyqt5.qtxmlpatterns

tingo@kg-vm4:~$ sudo apt install python-pyqt5.qtxmlpatterns
Reading package lists... Done
Building dependency tree      
Reading state information... Done
The following additional packages will be installed:
  python-pyqt5 python-sip
Suggested packages:
  python-pyqt5-dbg
The following NEW packages will be installed:
  python-pyqt5 python-pyqt5.qtxmlpatterns python-sip
0 upgraded, 3 newly installed, 0 to remove and 0 not upgraded.
Need to get 2,533 kB of archives.
After this operation, 15.1 MB of additional disk space will be used.
[..]
Setting up python-sip (4.19.14+dfsg-2) ...
Setting up python-pyqt5 (5.11.3+dfsg-1+b3) ...
Setting up python-pyqt5.qtxmlpatterns (5.11.3+dfsg-1+b3) ...

install python3-pyqt5.qtxmlpatterns-dbg

tingo@kg-vm4:~$ sudo apt install python3-pyqt5.qtxmlpatterns-dbg
Reading package lists... Done
Building dependency tree      
Reading state information... Done
The following additional packages will be installed:
  gdb libbabeltrace1 libc6-dbg libdw1 libipt2 libpython3-dbg libpython3.7-dbg python3-dbg python3-pyqt5-dbg python3-sip-dbg python3.7-dbg
Suggested packages:
  gdb-doc gdbserver python3-gdbm-dbg python3-tk-dbg
The following NEW packages will be installed:
  gdb libbabeltrace1 libc6-dbg libdw1 libipt2 libpython3-dbg libpython3.7-dbg python3-dbg python3-pyqt5-dbg python3-pyqt5.qtxmlpatterns-dbg
  python3-sip-dbg python3.7-dbg
0 upgraded, 12 newly installed, 0 to remove and 0 not upgraded.
Need to get 92.9 MB of archives.
After this operation, 257 MB of additional disk space will be used.
[..]
Setting up python3-pyqt5.qtxmlpatterns-dbg (5.11.3+dfsg-1+b3) ...
Processing triggers for man-db (2.8.5-2) ...
Processing triggers for libc-bin (2.28-10) ...

install python-pyqt5.qtxmlpatterns-dbg

tingo@kg-vm4:~$ sudo apt install
Reading package lists... Done
Building dependency tree      
Reading state information... Done
0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded.
tingo@kg-vm4:~$ sudo apt install python-pyqt5.qtxmlpatterns-dbg
Reading package lists... Done
Building dependency tree      
Reading state information... Done
The following additional packages will be installed:
  libpython-dbg libpython2-dbg libpython2.7-dbg python-dbg python-pyqt5-dbg python-sip-dbg python2-dbg python2.7-dbg
Suggested packages:
  python2.7-gdbm-dbg python2.7-tk-dbg python-gdbm-dbg python-tk-dbg
The following NEW packages will be installed:
  libpython-dbg libpython2-dbg libpython2.7-dbg python-dbg python-pyqt5-dbg python-pyqt5.qtxmlpatterns-dbg python-sip-dbg python2-dbg python2.7-dbg
0 upgraded, 9 newly installed, 0 to remove and 0 not upgraded.
Need to get 64.1 MB of archives.
After this operation, 177 MB of additional disk space will be used.
[..]
Setting up python-pyqt5.qtxmlpatterns-dbg (5.11.3+dfsg-1+b3) ...
Processing triggers for man-db (2.8.5-2) ...
Processing triggers for libc-bin (2.28-10) ...

ok

2020-03-14: sdb3 - seamly2D - try again

tingo@kg-vm4:~/work/seamly2d/Seamly2D$ QT_SELECT=5 qmake "CONFIG += noTests noRunPath no_ccache noDebugSymbols" Seamly2D.pro -r
Project MESSAGE: Seamly2D.pro: Unknown GCC configuration
Reading /zs/work/seamly2d/Seamly2D/src/src.pro
 Reading /zs/work/seamly2d/Seamly2D/src/libs/libs.pro
  Reading /zs/work/seamly2d/Seamly2D/src/libs/qmuparser/qmuparser.pro
  Reading /zs/work/seamly2d/Seamly2D/src/libs/vpropertyexplorer/vpropertyexplorer.pro
  Reading /zs/work/seamly2d/Seamly2D/src/libs/ifc/ifc.pro
Project ERROR: Unknown module(s) in QT: xmlpatterns

a different way

tingo@kg-vm4:~/work/seamly2d/Seamly2D$  qmake -qt=qt5 "CONFIG += noTests noRunPath no_ccache noDebugSymbols" Seamly2D.pro -r
Project MESSAGE: Seamly2D.pro: Unknown GCC configuration
Reading /zs/work/seamly2d/Seamly2D/src/src.pro
 Reading /zs/work/seamly2d/Seamly2D/src/libs/libs.pro
  Reading /zs/work/seamly2d/Seamly2D/src/libs/qmuparser/qmuparser.pro
  Reading /zs/work/seamly2d/Seamly2D/src/libs/vpropertyexplorer/vpropertyexplorer.pro
  Reading /zs/work/seamly2d/Seamly2D/src/libs/ifc/ifc.pro
Project ERROR: Unknown module(s) in QT: xmlpatterns

this all on

tingo@kg-vm4:~/work/seamly2d/Seamly2D$ git status
On branch develop
Your branch is up to date with 'origin/develop'.

nothing to commit, working tree clean

other branches

2020-03-14: sdb3 - apt - install qtdeclarative5-dev-tools

tingo@kg-vm4:~$ sudo apt install qtdeclarative5-dev-tools
Reading package lists... Done
Building dependency tree      
Reading state information... Done
The following additional packages will be installed:
  libqt5qml5 libqt5quick5 libqt5quicktest5
Suggested packages:
  qt5-qmltooling-plugins
The following NEW packages will be installed:
  libqt5qml5 libqt5quick5 libqt5quicktest5 qtdeclarative5-dev-tools
0 upgraded, 4 newly installed, 0 to remove and 0 not upgraded.
Need to get 2,937 kB of archives.
After this operation, 11.7 MB of additional disk space will be used.
[..]
Setting up qtdeclarative5-dev-tools (5.11.3-4) ...
Processing triggers for libc-bin (2.28-10) ...

ok

2020-03-14: sdb3 - seamly2D - trying to run qmake to create makefiles gives

tingo@kg-vm4:~/work/seamly2d/Seamly2D$ QT_SELECT=5 qmake PREFIX=/usr/local  Seamly2D.pro -r CONFIG+=noDebugSymbols CONFIG+=no_ccache
Info: creating stash file /zs/work/seamly2d/Seamly2D/.qmake.stash
Project MESSAGE: Seamly2D.pro: Unknown GCC configuration
Reading /zs/work/seamly2d/Seamly2D/src/src.pro
 Reading /zs/work/seamly2d/Seamly2D/src/libs/libs.pro
  Reading /zs/work/seamly2d/Seamly2D/src/libs/qmuparser/qmuparser.pro
  Reading /zs/work/seamly2d/Seamly2D/src/libs/vpropertyexplorer/vpropertyexplorer.pro
  Reading /zs/work/seamly2d/Seamly2D/src/libs/ifc/ifc.pro
Project ERROR: Unknown module(s) in QT: xmlpatterns

hmm, that's strange

2020-03-14: sdb3 - apt - install qtxmlpatterns5-dev-tools

tingo@kg-vm4:~$ sudo apt install qtxmlpatterns5-dev-tools
Reading package lists... Done
Building dependency tree      
Reading state information... Done
The following additional packages will be installed:
  libqt5xmlpatterns5
The following NEW packages will be installed:
  libqt5xmlpatterns5 qtxmlpatterns5-dev-tools
0 upgraded, 2 newly installed, 0 to remove and 0 not upgraded.
Need to get 986 kB of archives.
After this operation, 4,097 kB of additional disk space will be used.
[..]
Setting up libqt5xmlpatterns5:amd64 (5.11.3-2) ...
Setting up qtxmlpatterns5-dev-tools (5.11.3-2) ...
Processing triggers for libc-bin (2.28-10) ...

ok

2020-03-14: sdb3 - apt - install qtbase5-dev

tingo@kg-vm4:~/work/seamly2d/Seamly2D$ sudo apt install qtbase5-dev
Reading package lists... Done
Building dependency tree      
Reading state information... Done
The following additional packages will be installed:
  libdouble-conversion1 libdrm-dev libgl1-mesa-dev libgles1 libgles2 libglu1-mesa-dev libglvnd-core-dev libglvnd-dev libopengl0 libpcre2-16-0
  libqt5concurrent5 libqt5core5a libqt5dbus5 libqt5gui5 libqt5network5 libqt5opengl5 libqt5opengl5-dev libqt5printsupport5 libqt5sql5 libqt5sql5-sqlite
  libqt5svg5 libqt5test5 libqt5widgets5 libqt5xml5 libvulkan-dev libx11-xcb-dev libxcb-dri2-0-dev libxcb-dri3-dev libxcb-glx0-dev libxcb-icccm4
  libxcb-image0 libxcb-present-dev libxcb-randr0 libxcb-randr0-dev libxcb-render-util0 libxcb-render0-dev libxcb-shape0-dev libxcb-sync-dev
  libxcb-xfixes0-dev libxcb-xinerama0 libxcb-xkb1 libxdamage-dev libxext-dev libxfixes-dev libxkbcommon-x11-0 libxshmfence-dev libxxf86vm-dev
  mesa-common-dev qt5-gtk-platformtheme qt5-qmake qt5-qmake-bin qtbase5-dev-tools qtchooser qttranslations5-l10n x11proto-damage-dev x11proto-fixes-dev
  x11proto-xext-dev x11proto-xf86vidmode-dev
Suggested packages:
  qt5-image-formats-plugins qtwayland5 libxext-doc default-libmysqlclient-dev firebird-dev libegl1-mesa-dev libpq-dev libsqlite3-dev unixodbc-dev
The following NEW packages will be installed:
  libdouble-conversion1 libdrm-dev libgl1-mesa-dev libgles1 libgles2 libglu1-mesa-dev libglvnd-core-dev libglvnd-dev libopengl0 libpcre2-16-0
  libqt5concurrent5 libqt5core5a libqt5dbus5 libqt5gui5 libqt5network5 libqt5opengl5 libqt5opengl5-dev libqt5printsupport5 libqt5sql5 libqt5sql5-sqlite
  libqt5svg5 libqt5test5 libqt5widgets5 libqt5xml5 libvulkan-dev libx11-xcb-dev libxcb-dri2-0-dev libxcb-dri3-dev libxcb-glx0-dev libxcb-icccm4
  libxcb-image0 libxcb-present-dev libxcb-randr0 libxcb-randr0-dev libxcb-render-util0 libxcb-render0-dev libxcb-shape0-dev libxcb-sync-dev
  libxcb-xfixes0-dev libxcb-xinerama0 libxcb-xkb1 libxdamage-dev libxext-dev libxfixes-dev libxkbcommon-x11-0 libxshmfence-dev libxxf86vm-dev
  mesa-common-dev qt5-gtk-platformtheme qt5-qmake qt5-qmake-bin qtbase5-dev qtbase5-dev-tools qtchooser qttranslations5-l10n x11proto-damage-dev
  x11proto-fixes-dev x11proto-xext-dev x11proto-xf86vidmode-dev
0 upgraded, 59 newly installed, 0 to remove and 0 not upgraded.
Need to get 14.9 MB/17.3 MB of archives.
After this operation, 83.3 MB of additional disk space will be used.
[..]
Processing triggers for libc-bin (2.28-10) ...
Processing triggers for man-db (2.8.5-2) ...

ok

2020-03-14: sdb3 - get source for Seamly2D

tingo@kg-vm4:~/work/seamly2d$ git clone https://github.com/FashionFreedom/Seamly2D.git
Cloning into 'Seamly2D'...
remote: Enumerating objects: 4, done.
remote: Counting objects: 100% (4/4), done.
remote: Compressing objects: 100% (3/3), done.
remote: Total 119523 (delta 0), reused 0 (delta 0), pack-reused 119519
Receiving objects: 100% (119523/119523), 162.81 MiB | 7.94 MiB/s, done.
Resolving deltas: 100% (94322/94322), done.
Checking out files: 100% (3009/3009), done.

ok

2020-03-14: sdb3 - apt - upgrade

tingo@kg-vm4:~$ sudo apt upgrade
Reading package lists... Done
Building dependency tree      
Reading state information... Done
Calculating upgrade... Done
The following package was automatically installed and is no longer required:
  linux-image-4.19.0-5-amd64
Use 'sudo apt autoremove' to remove it.
The following packages will be upgraded:
  firefox-esr gir1.2-javascriptcoregtk-4.0 gir1.2-webkit2-4.0 libcurl3-gnutls libjavascriptcoregtk-4.0-18 libwebkit2gtk-4.0-37 ppp python-pil
  python3-pil
9 upgraded, 0 newly installed, 0 to remove and 0 not upgraded.
Need to get 66.6 MB of archives.
After this operation, 122 kB of additional disk space will be used.
[..]
Processing triggers for desktop-file-utils (0.23-4) ...

ok. autoremove

tingo@kg-vm4:~$ sudo apt autoremove
Reading package lists... Done
Building dependency tree      
Reading state information... Done
The following packages will be REMOVED:
  linux-image-4.19.0-5-amd64
0 upgraded, 0 newly installed, 1 to remove and 0 not upgraded.
After this operation, 267 MB disk space will be freed.
[..]
Adding boot menu entry for EFI firmware configuration
done

ok

2020-02-15: sdb3 - reboot, and Debian 10.3 is in

tingo@kg-vm4:~$ cat /etc/debian_version
10.3

new kernel, too

tingo@kg-vm4:~$ uname -a
Linux kg-vm4 4.19.0-8-amd64 #1 SMP Debian 4.19.98-1 (2020-01-26) x86_64 GNU/Linux

ok.

2020-02-15: sdb3 - apt - upgrade

tingo@kg-vm4:~$ sudo apt upgrade
Reading package lists... Done
Building dependency tree      
Reading state information... Done
Calculating upgrade... Done
The following NEW packages will be installed:
  linux-image-4.19.0-8-amd64 xdg-dbus-proxy
The following packages will be upgraded:
  atril atril-common base-files cron cups cups-bsd cups-client
  cups-common cups-core-drivers cups-daemon cups-ipp-utils cups-ppdc
  cups-server-common distro-info-data e2fslibs e2fsprogs
  e2fsprogs-l10n evince evince-common firefox-esr fonts-opensymbol
  freetype2-doc ghostscript gir1.2-javascriptcoregtk-4.0
  gir1.2-webkit2-4.0 git git-man libarchive13 libatrildocument3
  libatrilview3 libboost-atomic1.67.0 libboost-chrono1.67.0
  libboost-date-time1.67.0 libboost-filesystem1.67.0
  libboost-iostreams1.67.0 libboost-locale1.67.0
  libboost-system1.67.0 libboost-thread1.67.0 libburn4 libcom-err2
  libcomerr2 libcups2 libcupsimage2 libegl-mesa0 libegl1-mesa
  libevdocument3-4 libevview3-3 libexif12 libext2fs2 libfreetype6
  libfreetype6-dev libfribidi0 libgbm1 libgl1-mesa-dri
  libgl1-mesa-glx libglapi-mesa libglib2.0-0 libglib2.0-bin
  libglib2.0-data libglx-mesa0 libgnutls-dane0 libgnutls-openssl27
  libgnutls30 libgs9 libgs9-common libidn2-0 libimobiledevice6
  libjavascriptcoregtk-4.0-18 libmariadb3 libmatroska6v5 libmysofa0
  libncurses5 libncurses6 libncursesw5 libncursesw6 libnm0
  libnss-systemd libnss3 libopenjp2-7 libpam-systemd libpq5
  libpython2.7 libpython2.7-minimal libpython2.7-stdlib libpython3.7
  libpython3.7-minimal libpython3.7-stdlib libreoffice
  libreoffice-avmedia-backend-gstreamer libreoffice-base
  libreoffice-base-core libreoffice-base-drivers libreoffice-calc
  libreoffice-common libreoffice-core libreoffice-draw
  libreoffice-gtk2 libreoffice-help-common libreoffice-help-en-us
  libreoffice-impress libreoffice-java-common libreoffice-librelogo
  libreoffice-math libreoffice-nlpsolver libreoffice-ogltrans
  libreoffice-pdfimport libreoffice-report-builder
  libreoffice-report-builder-bin libreoffice-script-provider-bsh
  libreoffice-script-provider-js libreoffice-script-provider-python
  libreoffice-sdbc-firebird libreoffice-sdbc-hsqldb
  libreoffice-sdbc-postgresql libreoffice-style-colibre
  libreoffice-style-tango libreoffice-wiki-publisher
  libreoffice-writer libsasl2-2 libsasl2-modules libsasl2-modules-db
  libss2 libsystemd0 libtiff-dev libtiff5 libtiffxx5 libtimedate-perl
  libtinfo5 libtinfo6 libudev1 libvirt-clients libvirt-daemon
  libvirt-daemon-system libvirt0 libvpx-dev libvpx5
  libwebkit2gtk-4.0-37 libxatracker2 libxencall1 libxendevicemodel1
  libxenevtchn1 libxenforeignmemory1 libxengnttab1 libxenmisc4.11
  libxenstore3.0 libxentoolcore1 libxentoollog1 libxslt1.1
  linux-image-4.19.0-6-amd64 linux-image-amd64 linux-libc-dev
  mariadb-common mesa-va-drivers mesa-vdpau-drivers ncurses-base
  ncurses-bin ncurses-term network-manager openjdk-11-jre
  openjdk-11-jre-headless openssh-client openssh-server
  openssh-sftp-server python-apt python-apt-common
  python-cryptography python2.7 python2.7-minimal python3-apt
  python3-uno python3.7 python3.7-minimal qemu-kvm qemu-system-common
  qemu-system-data qemu-system-gui qemu-system-x86 qemu-utils rpcbind
  sudo systemd systemd-sysv udev uno-libs3 ure
185 upgraded, 2 newly installed, 0 to remove and 0 not upgraded.
Need to get 401 MB of archives.
After this operation, 272 MB of additional disk space will be used.
[..]
Processing triggers for initramfs-tools (0.133+deb10u1) ...
update-initramfs: Generating /boot/initrd.img-4.19.0-8-amd64

ok