Altera Quartus II - Debian

Quartus II on Debian

local links: bb - BeeBox-S, eb - EliteBook,

back to main Quartus II page.

History

2020-10-23: eb - sdb6 - try Quartus 13.0sp1

tingo@kg-elitebook:~$ ~/progs/altera/13.0sp1/quartus/bin/quartus
/home/tingo/progs/altera/13.0sp1/quartus/bin/quartus: 1: exec: quartus: not found
tingo@kg-elitebook:~$ ~/progs/altera/13.0sp1/quartus/bin/quartus --64bit

64-bit works, after installing libpng12 from a deb package.

2020-10-23: bb - sdb5 - try Quartus 13.0sp1

tingo@kg-bsbox:~$ ~/progs/altera/13.0sp1/quartus/bin/quartus --64bit
tingo@kg-bsbox:~$ ~/progs/altera/13.0sp1/quartus/bin/quartus
quartus: error while loading shared libraries: libpng12.so.0: cannot open shared object file: No such file or directory

64-bit works, and has support for Cyclone II

2020-10-23: bb - sdb5 - try to start Quartus 13.1

tingo@kg-bsbox:~$ ~/progs/altera/13.1/quartus/bin/quartus --64bit
quartus: error while loading shared libraries: libpng12.so.0: cannot open shared object file: No such file or directory
tingo@kg-bsbox:~$ ~/progs/altera/13.1/quartus/bin/quartus
quartus: error while loading shared libraries: libpng12.so.0: cannot open shared object file: No such file or directory

ok, no go. Install the old libpng12 deb package

tingo@kg-bsbox:~$ sudo apt install ~/dl/linux/debian/libpng12-0_1.2.50-2+deb8u3_amd64.deb
Reading package lists... Done
Building dependency tree      
Reading state information... Done
Note, selecting 'libpng12-0' instead of '/home/tingo/dl/linux/debian/libpng12-0_1.2.50-2+deb8u3_amd64.deb'
The following NEW packages will be installed:
  libpng12-0
0 upgraded, 1 newly installed, 0 to remove and 0 not upgraded.
Need to get 0 B/173 kB of archives.
After this operation, 273 kB of additional disk space will be used.
Get:1 /zs/tingo/dl/linux/debian/libpng12-0_1.2.50-2+deb8u3_amd64.deb libpng12-0 amd64 1.2.50-2+deb8u3 [173 kB]
Selecting previously unselected package libpng12-0:amd64.
(Reading database ... 211786 files and directories currently installed.)
Preparing to unpack .../libpng12-0_1.2.50-2+deb8u3_amd64.deb ...
Unpacking libpng12-0:amd64 (1.2.50-2+deb8u3) ...
Setting up libpng12-0:amd64 (1.2.50-2+deb8u3) ...

now, re-try

tingo@kg-bsbox:~$ ~/progs/altera/13.1/quartus/bin/quartus --64bit
tingo@kg-bsbox:~$ ~/progs/altera/13.1/quartus/bin/quartus
quartus: error while loading shared libraries: libpng12.so.0: cannot open shared object file: No such file or directory

64-bit works, but not 32-bit. Unfortunately, 13.1 doesn't have support for Cyclone II. Try 13.0 instead.

2018-11-08: bb - sdb5 -Quartus II 13.0sp1 (64-bit) also works. 2018-11-08: bb - sdb5 - and now Quartus II 13.1 (64-bit) starts. Nice!

2018-11-08: bb - sdb5 - apt - I installed libpng12 from jessie, like this

tingo@kg-bsbox:/zs/tingo/dl/linux/debian$ sudo apt install ./libpng12-0_1.2.50-2+deb8u3_amd64.deb
Reading package lists... Done
Building dependency tree      
Reading state information... Done
Note, selecting 'libpng12-0' instead of './libpng12-0_1.2.50-2+deb8u3_amd64.deb'
The following NEW packages will be installed:
  libpng12-0
0 upgraded, 1 newly installed, 0 to remove and 0 not upgraded.
Need to get 0 B/173 kB of archives.
After this operation, 273 kB of additional disk space will be used.
Get:1 /zs/tingo/dl/linux/debian/libpng12-0_1.2.50-2+deb8u3_amd64.deb libpng12-0 amd64 1.2.50-2+deb8u3 [173 kB]
Selecting previously unselected package libpng12-0:amd64.
(Reading database ... 161133 files and directories currently installed.)
Preparing to unpack .../libpng12-0_1.2.50-2+deb8u3_amd64.deb ...
Unpacking libpng12-0:amd64 (1.2.50-2+deb8u3) ...
Setting up libpng12-0:amd64 (1.2.50-2+deb8u3) ...

ok.

2018-11-08: bb - sdb5 - after copying all data from the laptop (e1) to this machine I tried to start Quartus II. 64-bit

tingo@kg-bsbox:~$ ~/progs/altera/13.1/quartus/bin/quartus --64bit
quartus: error while loading shared libraries: libpng12.so.0: cannot open shared object file: No such file or directory

and libpng12 (or libpng12-0) doesn't exist for stretch yet

32-bit

tingo@kg-bsbox:~$ ~/progs/altera/13.1/quartus/bin/quartus
/home/tingo/progs/altera/13.1/quartus/bin/quartus: 1: exec: quartus: not found

hmm, not sure about this one