multicomp6809 C4

multicomp6809 on the C4 board

jtag setup

cable dirtyjtag
bsdl path /home/tingo/doc/Altera/docs/fpga/bsdl/
detect
svf ./whatever/file.svf

back to C4 board, main multicomp6809 page.

links

nealcrook/multicomp6809,

local links

c1, e1,

History

2021-10-03: I re-created this page on my self-hosted web server.

2018-10-11: e1 - (eBay) C4 board - multicomp6809 - reading from the microSD card works

OK HEX
OK 200 80 DUMP
0200  00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00  ................
0210  00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00  ................
0220  00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00  ................
0230  00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00  ................
0240  00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00  ................
0250  00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00  ................
0260  00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00  ................
0270  00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00  ................
OK 0 200 SDRD
OK 200 80 DUMP
0200  C3 5C D3 C3 58 D3 7F 00  43 6F 70 79 72 69 67 68  .\..X...Copyrigh
0210  74 20 31 39 37 39 20 28  63 29 20 62 79 20 44 69  t 1979 (c) by Di
0220  67 69 74 61 6C 20 52 65  73 65 61 72 63 68 20 20  gital Research 
0230  20 20 20 20 00 00 00 00  00 00 00 00 00 00 00 00      ............
0240  00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00  ................
0250  00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00  ................
0260  00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00  ................
0270  00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00  ................
OK

good.

2018-10-10: e1 - (eBay) C4 board - multicomp6809 - with vduffd0 low (to GND), the machine has serial connected and working:

�6809 CamelForth v1.1  20 Mar 16

OK

nice.

2018-10-10: e1 - (eBay) C4 board - multicomp6809 - assigned n_reset as well

pin 65 n_reset

ok.

2018-10-09: e1 - (eBay) C4 board - multicomp6809 - assigned vduffd0

pin 64 vduffd0

and when I ground it, pin 31 DS3 n_LED9 lights up. So some things work.

2018-10-09: e1 - (eBay) C4 board - microSD adapter, pin assignments

pin 38 sdMISO
pin 39 sdSCLK
pin 42 sdMOSI
pin 32 sdCS

and GND, +3V3.

2018-09-27: e1 - next I opened the McrocomputerPCB project, changed device to EP4CE6E22C8, and removed all location assignments. Compiled, changed settings, tested ok. Assignments

pin 88 clk
pin 66 rxd1
pin 68 txd1
pin 70 rts1 (not connected)

I also set INTERNAL_RAM to '1' LEDs

pin 28 DS1 -
pin 32 DS2 - assigned to n_LED7 (not used)
pin 31 DS3 - assigned to n_LED9 (not used)
pin 30 DS4 - assigned to driveLED

compile again. Test. I just get a garabage character on the serial console, and DS1, DS2 and DS4 is lit.

2018-09-27: e1 - I cloned the multicomp6809 repository directory

[tingo@kg-elitebook multicomp]$ pwd
/home/tingo/personal/projects/fpga/Altera/c4/multicomp

clone

[tingo@kg-elitebook multicomp]$ git clone https://github.com/nealcrook/multicomp6809.git
Cloning into 'multicomp6809'...
remote: Enumerating objects: 5, done.
remote: Counting objects: 100% (5/5), done.
remote: Compressing objects: 100% (5/5), done.
remote: Total 1288 (delta 0), reused 1 (delta 0), pack-reused 1283
Receiving objects: 100% (1288/1288), 10.10 MiB | 1.30 MiB/s, done.
Resolving deltas: 100% (780/780), done.

ok.